Opendata, web and dolomites

SeNaTe

Seven Nanometer Technology

Total Cost €

0

EC-Contrib. €

0

Partnership

0

Views

0

Project "SeNaTe" data sheet

The following table provides information about the project.

Coordinator
ASML NETHERLANDS B.V. 

Organization address
address: DE RUN 6501
city: VELDHOVEN
postcode: 5504DR
website: www.asml.com

contact info
title: n.a.
name: n.a.
surname: n.a.
function: n.a.
email: n.a.
telephone: n.a.
fax: n.a.

 Coordinator Country Netherlands [NL]
 Total cost 177˙731˙624 €
 EC max contribution 31˙816˙386 € (18%)
 Programme 1. H2020-EU.2.1.1.7. (ECSEL)
 Code Call ECSEL-2014-2
 Funding Scheme ECSEL-IA
 Starting year 2015
 Duration (year-month-day) from 2015-04-01   to  2018-03-31

 Partnership

Take a look of project's partnership.

# participants  country  role  EC contrib. [€] 
1    ASML NETHERLANDS B.V. NL (VELDHOVEN) coordinator 8˙557˙804.00
2    INTERUNIVERSITAIR MICRO-ELECTRONICA CENTRUM BE (LEUVEN) participant 7˙210˙212.00
3    CARL ZEISS SMT GMBH DE (OBERKOCHEN) participant 2˙357˙990.00
4    NEDERLANDSE ORGANISATIE VOOR TOEGEPAST NATUURWETENSCHAPPELIJK ONDERZOEK TNO NL (DEN HAAG) participant 1˙151˙729.00
5    NOVA MEASURING INSTRUMENTS LTD IL (REHOVOT) participant 1˙075˙348.00
6    APPLIED MATERIALS ISRAEL LTD IL (REHOVOT) participant 940˙967.00
7    INSTITUT FUER MIKROELEKTRONIK STUTTGART DE (STUTTGART) participant 845˙982.00
8    FEI ELECTRON OPTICS BV NL (EINDHOVEN) participant 787˙475.00
9    ICT Integrated Circuit Testing GmbH DE (Heimstetten) participant 765˙000.00
10    BRUKER JV ISRAEL LTD IL (MIGDAL HAEMEK) participant 695˙236.00
11    FRAUNHOFER GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V. DE (MUNCHEN) participant 676˙624.00
12    UNIVERSITEIT TWENTE NL (ENSCHEDE) participant 575˙000.00
13    KLA-TENCOR CORPORATION (ISRAEL) IL (MIGDAL HAEMEK) participant 539˙676.00
14    LAM RESEARCH AG AT (VILLACH) participant 528˙653.00
15    APPLIED MATERIALS BELGIUM BE (LEUVEN) participant 517˙968.00
16    ASM BELGIUM NV BE (HEVERLEE) participant 446˙245.00
17    LAM RESEARCH BELGIUM BE (LEUVEN) participant 445˙140.00
18    VDL ENABLING TECHNOLOGIES GROUP BV NL (EINDHOVEN) participant 416˙812.00
19    NANOMOTION LTD IL (Yokneam) participant 389˙314.00
20    ADVANCED MASK TECHNOLOGY CENTER GMBH & CO KG DE (DRESDEN) participant 382˙500.00
21    JENOPTIK OPTICAL SYSTEMS GMBH DE (JENA) participant 346˙071.00
22    COVENTOR SARL FR (VILLEBON SUR YVETTE) participant 306˙038.00
23    DEMCON ADVANCED MECHATRONICS BV NL (ENSCHEDE) participant 236˙135.00
24    RI RESEARCH INSTRUMENTS GMBH DE (BERGISCH GLADBACH) participant 217˙425.00
25    FABMATICS GMBH DE (DRESDEN) participant 195˙136.00
26    KLA-Tencor MIE GmbH DE (Weilburg) participant 182˙704.00
27    SEMILAB FELVEZETO FIZIKAI LABORATORIUM RESZVENYTARSASAG HU (BUDAPEST) participant 127˙380.00
28    FEI CZECH REPUBLIC SRO CZ (BRNO) participant 121˙988.00
29    ASELTA NANOGRAPHICS SA FR (GRENOBLE) participant 118˙796.00
30    PFEIFFER VACUUM FR (ANNECY) participant 117˙344.00
31    SOITEC SA FR (BERNIN) participant 116˙553.00
32    PHYSIKALISCH-TECHNISCHE BUNDESANSTALT DE (BRAUNSCHWEIG) participant 113˙692.00
33    SUSS MicroTec Photomask Equipment GmbH & Co. KG DE (Sternenfels) participant 102˙451.00
34    RECIF TECHNOLOGIES FR (Blagnac) participant 83˙872.00
35    ASYS AUTOMATIC SYSTEMS GMBH & CO KG DE (SCHORNDORF) participant 81˙421.00
36    ECP FR (MONTPELLIER) participant 30˙187.00
37    ASM EUROPE BV NL (ALMERE) participant 10˙928.00
38    BROOKS CCS GMBH DE (Radolfzell) participant 2˙577.00
39    ASM EUROPE BV NL (ALMERE) participant 0.00
40    HERAEUS QUARZGLAS GMBH & CO KG DE (HANAU) participant 0.00
41    INTEL ELECTRONICS LTD IL (KIRYAT GAT) participant 0.00

Map

 Project objective

The SeNaTe project is the next in a chain of thematically connected ENIAC JU KET pilot line projects which are associated with 450mm/300mm development for the 12nm and 10nm technology nodes. The main objective is the demonstration of the 7nm IC technology integration in line with the industry needs and the ITRS roadmap on real devices in the Advanced Patterning Center at imec using innovative device architecture and comprising demonstration of a lithographic platform for EUV and immersion technology, advanced process and holistic metrology platforms, new materials and mask infrastructure. A lithography scanner will be developed based on EUV technology to achieve the 7nm module patterning specification. Metrology platforms need to be qualified for N7’s 1D, 2D and 3D geometries with the appropriate precision and accuracy. For the 7nm technology modules a large number of new materials will need to be introduced. The introduction of these new materials brings challenges for all involved processes and the related equipment set. Next to new deposition processes also the interaction of the involved materials with subsequent etch, clean and planarization steps will be studied. Major European stakeholders in EUV mask development will collaboratively work together on a number of key remaining EUV mask issues. The first two years of the project will be dedicated to find the best options for patterning, device performance, and integration. In the last year a full N7 integration with electrical measurements will be performed to enable the validation of the 7nm process options for a High Volume Manufacturing. The SeNaTe project relates to the ECSEL work program topic Process technologies – More Moore. It addresses and targets as set out in the MASP at the discovery of new Semiconductor Process, Equipment and Materials solutions for advanced CMOS processes that enable the nano-structuring of electronic devices with 7nm resolution in high-volume manufacturing and fast prototyping.

 Publications

year authors and title journal last update
List of publications.
2017 S. Guissi, W. F. Clark, A. Junker, J. Ervin, K. Greiner, D. Fried, B. Briggs, K. Devriendt, F. Sebaai, A. Charley, C. J. Wilson, J. Boemmels, Z. TÅ‘kei
Modeling of Tone Inversion Process Flow for N5 Interconnect to Characterize Block Tip to Tip
published pages: , ISSN: , DOI:
INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE 2017 2019-09-04
2015 F. Molkenboer
Vacuum Architecture of an EUV exposure system
published pages: Paper VT-WeM11, ISSN: , DOI:
AVS Proceedings 62 2019-09-04
2015 Lukas Bahrenberg, Stefan Herbert, Jenny Tempeler, Aleksey Maryasov, Oskar Hofmann, Serhiy Danylyuk, Rainer Lebert, Peter Loosen, Larissa Juschkin
Analysis of distinct scattering of extreme ultraviolet phase and amplitude multilayer defects with an actinic dark-field microscope
published pages: 942229, ISSN: , DOI: 10.1117/12.2085929
Extreme Ultraviolet (EUV) Lithography VI 2019-09-04
2015 Sushil Sakhare, Darko Trivkovic, Tom Mountsier, Min-Soo Kim, Dan Mocuta, Julien Ryckaert, Abdelkarim Mercha, Diederik Verkest, Aaron Thean, Mircea Dusa
Layout optimization and trade-off between 193i and EUV-based patterning for SRAM cells to improve performance and process variability at 7nm technology node
published pages: 94270O, ISSN: , DOI: 10.1117/12.2086100
Design-Process-Technology Co-optimization for Manufacturability IX 2019-09-04
2016 Ivan Pollentier, Johannes Vanpaemel, Jae Uk Lee, Christoph Adelmann, Houman Zahedmanesh, Cedric Huyghebaert, Emily E. Gallagher
EUV lithography imaging using novel pellicle membranes
published pages: 977620, ISSN: , DOI: 10.1117/12.2220031
Extreme Ultraviolet (EUV) Lithography VII 2019-09-04
2017 Mertens, H.; Ritzenthaler, R.; Pena, V.; Santoro, G.; Kenis, K.; Schulze, A.; Dentoni Litta, E.; Chew, S.; Devriendt, K.; Chiarella, T.; Demuynck, S.; Yakimets, D.; Jang, D.; Spessot, A.; Eneman, G.; Dangol, A.; Lagrain, P.; Bender, H.; Sun, S.; Korolik, M.; Kioussis, D.; Kim, M.; Bu, K.; Chen, S.; Cogorno, M.; Devrajan, J.; Machillot, J.; Yoshida, N.; Kim, N.; Barla, K.; Mocuta, D. and Horiguchi, N.
Vertically stacked gate-all-around Si nanowire transistors: key process optimizations and ring oscillator demonstration
published pages: , ISSN: , DOI:
2019-09-04
2016 Edwin te Sligte, Norbert Koster, Freek Molkenboer, Alex Deutz
EBL2, a flexible, controlled EUV exposure and surface analysis facility
published pages: 99840R, ISSN: , DOI: 10.1117/12.2240302
Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology 2019-09-04
2016 Jae Uk Lee, Johannes Vanpaemel, Ivan Pollentier, Christoph Adelmann, Houman Zahedmanesh, Cedric Huyghebaert, Marina Timmermans, Michael De Volder, Emily Gallagher
Introducing the EUV CNT pellicle
published pages: 99850C, ISSN: , DOI: 10.1117/12.2243019
Photomask Technology 2016 99850C (25 October 2016) 2019-09-04
2017 Norbert Koster, Edwin te Sligte, Alex Deutz, Freek Molkenboer, Pim Muilwijk, Peter van der Walle, Wouter Mulckhuyse, Bjorn Nijland, Peter Kerkhof, Michel van Putten
First light and results on EBL2
published pages: 104540O, ISSN: , DOI: 10.1117/12.2279025
Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology 2019-09-04
2016 V. Soltwisch, A. Haase, J. Wernecke, J. Probst, M. Schoengen, S. Burger, M. Krumrey, F. Scholze
Correlated diffuse x-ray scattering from periodically nanostructured surfaces
published pages: , ISSN: 2469-9950, DOI: 10.1103/PhysRevB.94.035419
Physical Review B 94/3 2019-09-04
2015 Anton Haase, Victor Soltwisch, Frank Scholze, Stefan Braun
Characterization of Mo/Si mirror interface roughness for different Mo layer thickness using resonant diffuse EUV scattering
published pages: 962804, ISSN: , DOI: 10.1117/12.2191265
Optical Systems Design 2015: Optical Fabrication, Testing, and Metrology V 2019-09-04
2017 Alberto Pirati, Jan van Schoot, Kars Troost, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders, Hans Meiling, Eelco van Setten, Niclas Mika, Jeannot Dredonx, Uwe Stamm, Bernhard Kneer, Bernd Thuering, Winfried Kaiser, Tilmann Heil, Sascha Migura
The future of EUV lithography: enabling Moore\'s Law in the next decade
published pages: 101430G, ISSN: , DOI: 10.1117/12.2261079
Extreme Ultraviolet (EUV) Lithography VIII 2019-09-04
2017 F.T. Molkenboer, N.B. Koster, A.F. Deutz, B.A.H. Nijland, P.J. Kerkhof, P.M. Muilwijk, B.W. Oostdijck, J. Westerhout, C.L. Hollemans, W.F.W. Mulckhuyse, M. van Putten, P. van der Walle, A.M. Hoogstrate, J.R.H. Diesveld, A. Abutan
Ultra Clean sample transportation in an EUV exposure system
published pages: VT-WeM3, ISSN: , DOI:
AVS Proceedings 64 2019-09-04
2015 Emily E. Gallagher, Johannes Vanpaemel, Ivan Pollentier, Houman Zahedmanesh, Christoph Adelmann, Cedric Huyghebaert, Rik Jonckheere, Jae Uk Lee
Properties and performance of EUVL pellicle membranes
published pages: 96350X, ISSN: , DOI: 10.1117/12.2199076
Photomask Technology 2015 2019-09-04
2015 E. te Sligte
EBL2: EUV exposure and surface analysis system
published pages: , ISSN: , DOI:
EUVL Symposium 2015 2019-09-04
2016 H. Sadeghian
Parallel, high throughput atomic force metrology for EUV masks and wafers
published pages: , ISSN: , DOI:
SPIE Advanced Lithography Proceedings 2016 2019-09-04
2017 Mark van de Kerkhof, Hans Jasper, Leon Levasier, Rudy Peeters, Roderik van Es, Jan-Willem Bosker, Alexander Zdravkov, Egbert Lenderink, Fabrizio Evangelista, Par Broman, Bartosz Bilski, Thorsten Last
Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner
published pages: 101430D, ISSN: , DOI: 10.1117/12.2258025
Extreme Ultraviolet (EUV) Lithography VIII 2019-09-04
2016 Efrain Altamirano-Sánchez, Tao S. Zheng, Anil Gunay Demirkol, Gian F. Lorusso, Toby Hopf, Jean-Christophe Everat IMEC (Belgium), William Clark, Coventor (France); Daniel Sobieski, Fung-Suong Ou, Lam Research Corp. (United States); David Hellin, Lam Research (Belgium)
Self-aligned-quadruple-patterning for N7/N5 silicon fins
published pages: , ISSN: , DOI:
SPIE Advanced Lithigraphy 2016 2019-09-04
2016 Edwin te Sligte, Norbert Koster, Freek Molkenboer, Peter van der Walle, Pim Muilwijk, Wouter Mulckhuyse, Bastiaan Oostdijck, Christiaan Hollemans, Björn Nijland, Peter Kerkhof, Michel van Putten, André Hoogstrate, Alex Deutz
EBL2: high power EUV exposure facility
published pages: 998520, ISSN: , DOI: 10.1117/12.2240921
Photomask Technology 2016 2019-09-04
2017 Freek Molkenboer, Norbert Koster, Alfred Abutan, Alex Deutz, Hans Diesveld, Christiaan Hollemans, Andre Hoogstrate, Peter Kerkhof, Pim Muilwijk, Wouter Mulckhuyse, Bjorn Nijland, Bastiaan Oostdijck, Michel van Putten, Edwin te Sligte, Peter van der Walle, Jeroen Westerhout
Realisation of a vacuum system for EUV beam line EBL2
published pages: p 18-23, ISSN: 0169-9431, DOI:
NEVAC Blad 55 (3) 2019-09-04
2016 P. Muilwijk
Realization of an in-situ Mueller-matrix imaging ellipsometer for the real time observation of surface properties in an ultra-high vacuum EUV facility
published pages: \"Paper #4224\", ISSN: , DOI:
AVS Proceedings 63 2019-09-04
2016 F. Molkenboer
Realisation of a vacuum system of an EUV exposure system Presentation
published pages: \"Paper #3725\", ISSN: , DOI:
AVS Proceedings 63 2019-09-04
2017 Vicky Philipsen, Kim Vu Luong, Laurent Souriau, Eric Hendrickx, Andreas Erdmann, Dongbo Xu, Peter Evanschitzky, Robbert W. E. van de Kruijs, Arash Edrisi, Frank Scholze, Christian Laubis, Mathias Irmscher, Sandra Naasz, Christian Reuter
Reducing EUV mask 3D effects by alternative metal absorbers
published pages: 1014310, ISSN: , DOI: 10.1117/12.2257929
Extreme Ultraviolet (EUV) Lithography VIII 2019-09-04
2017 Michel van Putten, N.B. Koster, A.F. Deutz, B.A.H. Nijland, P.J. Kerkhof, P.M. Muilwijk, B.W. Oostdijck, J. Westerhout, C.L. Hollemans, E. te Sligte, W.F.W. Mulckhuyse, F.T. Molkenboer, A.M. Hoogstrate, P. van der Walle, J.R.H. Diesveld, A. Abutan
EBL2: realization and qualification of an EUV exposure system
published pages: VT-TuM11, ISSN: , DOI:
AVS Proceedings 64 2019-09-04
2015 Christian Laubis, Anton Haase, Victor Soltwisch, Frank Scholze
Characterization of optical material parameters for EUV Lithography applications at PTB
published pages: 96610W, ISSN: , DOI: 10.1117/12.2195009
31st European Mask and Lithography Conference 2019-09-04
2015 Victor Soltwisch, Andreas Fischer, Christian Laubis, Christian Stadelhoff, Frank Scholze, Albrecht Ullrich
Polarization resolved measurements with the new EUV ellipsometer of PTB
published pages: 942213, ISSN: , DOI: 10.1117/12.2085798
Extreme Ultraviolet (EUV) Lithography VI 2019-09-04
2017 Peter Evanschitzky, Andreas Erdmann
Advanced EUV mask and imaging modeling
published pages: 1, ISSN: 1932-5150, DOI: 10.1117/1.jmm.16.4.041005
Journal of Micro/Nanolithography, MEMS, and MOEMS 16/04 2019-09-04
2016 Jiangjiang (Jimmy) Gu, Dalong Zhao, Vasanth Allampalli, Daniel Faken, Ken Greiner, David M. Fried
Predicting LER and LWR in SAQP with 3D virtual fabrication
published pages: 97820N, ISSN: , DOI: 10.1117/12.2218929
Advanced Etch Technology for Nanopatterning V 2019-09-04
2016 Jo Finders, Sander Wuister, Thorsten Last, Gijsbert Rispens, Eleni Psari, Jan Lubkoll, Eelco van Setten, Friso Wittebrood
Contrast optimization for 0.33NA EUV lithography
published pages: 97761P, ISSN: , DOI: 10.1117/12.2220036
Extreme Ultraviolet (EUV) Lithography VII 2019-09-04
2016 Alberto Pirati, Rudy Peeters, Daniel Smith, Sjoerd Lok, Martijn van Noordenburg, Roderik van Es, Eric Verhoeven, Henk Meijer, Arthur Minnaert, Jan-Willem van der Horst, Hans Meiling, Joerg Mallmann, Christian Wagner, Judon Stoeldraijer, Geert Fisser, Jo Finders, Carmen Zoldesi, Uwe Stamm, Herman Boom, David Brandt, Daniel Brown, Igor Fomenkov, Michael Purvis
EUV lithography performance for manufacturing: status and outlook
published pages: 97760A, ISSN: , DOI: 10.1117/12.2220423
Extreme Ultraviolet (EUV) Lithography VII 2019-09-04
2016 Philipsen, V.; Luong, V.; Hendrickx, E.; Erdmann, A.; Dongbo, X.; Evanschitzky, P.; van de Kruijs, R.; Edrisi, A.; Scholze, F.; Laubis, C.; Irmscher, M. and Naasz, S.
Mitigating EUV mask 3D effects by alternative metal absorbers
published pages: , ISSN: , DOI:
2019-09-04
2015 E. te Sligte
EBL2, a flexible and controlled EUV exposure and surface analysis system
published pages: , ISSN: , DOI:
PTB Workshop 2015 2019-09-04
2016 Luong, V.; Philipsen, V.; Hendrickx, E.; Scholze, F.; van de Kruijs, R.; Edrisi, A.; Wood, O. and Heyns, M.
Optimized EUV mask absorber stack for improved imaging by reducing roughness and crystallinity of alternative absorber materials
published pages: , ISSN: , DOI:
2019-09-04
2017 Norbert Koster, Edwin te Sligte, Freek Molkenboer, Alex Deutz, Peter van der Walle, Pim Muilwijk, Wouter Mulckhuyse, Bastiaan Oostdijck, Christiaan Hollemans, Björn Nijland, Peter Kerkhof, Michel van Putten, Jeroen Westerhout
First light at EBL2
published pages: 101431N, ISSN: , DOI: 10.1117/12.2257997
Extreme Ultraviolet (EUV) Lithography VIII 2019-09-04
2017 Andreas Erdmann, Dongbo Xu, Peter Evanschitzky, Vicky Philipsen, Vu Luong, Eric Hendrickx
Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography
published pages: , ISSN: 2192-8584, DOI: 10.1515/aot-2017-0019
Advanced Optical Technologies 6/3-4 2019-09-04
2016 Derk Brouns, Aage Bendiksen, Par Broman, Eric Casimiri, Paul Colsters, Peter Delmastro, Dennis de Graaf, Paul Janssen, Mark van de Kerkhof, Ronald Kramer, Matthias Kruizinga, Henk Kuntzel, Frits van der Meulen, David Ockwell, Maria Peter, Daniel Smith, Beatrijs Verbrugge, David van de Weg, Jim Wiley, Noelie Wojewoda, Carmen Zoldesi, Pieter van Zwol
NXE pellicle: offering a EUV pellicle solution to the industry
published pages: 97761Y, ISSN: , DOI: 10.1117/12.2221909
Extreme Ultraviolet (EUV) Lithography VII 2019-09-04
2016 P. Bussink
Sub 20nm particle inspection on EUV mask blanks
published pages: 9778-115, ISSN: , DOI:
SPIE Advanced Lithography Proceedings 2016 2019-09-04
2015 Jan van Schoot, Koen van Ingen Schenau, Gerardo Bottiglieri, Kars Troost, John Zimmerman, Sascha Migura, Bernhard Kneer, Jens Timo Neumann, Winfried Kaiser
EUV High-NA scanner and mask optimization for sub 8 nm resolution
published pages: 963503, ISSN: , DOI: 10.1117/12.2202258
Photomask Technology 2015 2019-09-04

Are you the coordinator (or a participant) of this project? Plaese send me more information about the "SENATE" project.

For instance: the website url (it has not provided by EU-opendata yet), the logo, a more detailed description of the project (in plain text as a rtf file or a word file), some pictures (as picture files, not embedded into any word file), twitter account, linkedin page, etc.

Send me an  email (fabio@fabiodisconzi.com) and I put them in your project's page as son as possible.

Thanks. And then put a link of this page into your project's website.

The information about "SENATE" are provided by the European Opendata Portal: CORDIS opendata.

More projects from the same programme (H2020-EU.2.1.1.7.)

VIZTA (2019)

VIZTA sounds for Vision, Identification, with Z-sensing Technologies and key Applications.

Read More  

CSA-Industy4.E (2018)

Coordination and Support Action for Industry4.E

Read More  

TEMPO (2019)

Technology and hardware for neuromorphic computing

Read More